Search concepts
|
Selected Filters
|
- 200 - 250 out of 30,734 results
Search results
-
A 10-meter active optical cable utilizing POF with 4 × 10-Gb/s CMOS transceiver chipsets
Hong, C., Kim, S. H., Cha, S. & Park, S. M., Apr 2019, In: IEEE Photonics Journal. 11, 2, 8639008.Research output: Contribution to journal › Article › peer-review
Open Access -
A 110.3-bits/min 8-ch ssvep-based brain-computer interface soc with 87.9% accuracy
Byun, W., Kirn, D., Kiin, S. Y. & Kim, J. H., Nov 2019, Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019. Institute of Electrical and Electronics Engineers Inc., p. 201-204 4 p. 9056983. (Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019; vol. 2019-November).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
3 Scopus citations -
A 110dB-CMRR 100dB-PSRR multi-channel neural-recording amplifier system using differentially regulated rejection ratio enhancement in 0.18μm CMOS
Lee, S., George, A. K., Lee, T., Chu, J. U., Han, S., Kim, J. H., Je, M. & Lee, J., 8 Mar 2018, 2018 IEEE International Solid-State Circuits Conference, ISSCC 2018. Institute of Electrical and Electronics Engineers Inc., p. 472-474 3 p. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference; vol. 61).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
19 Scopus citations -
A 12mW 5GHz wideband low-noise amplifier in 0.13μm CMOS using noise cancellation
Kim, H., Tak, J., Lee, J., Shin, J., Han, J. & Park, S. M., 2011, 2011 IEEE MTT-S International Microwave Workshop Series on Intelligent Radio for Future Personal Terminals, IMWS-IRFPT 2011. 6027203. (2011 IEEE MTT-S International Microwave Workshop Series on Intelligent Radio for Future Personal Terminals, IMWS-IRFPT 2011).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
1 Scopus citations -
A 12-week clevudine therapy showed potent and durable antiviral activity in HBeAg-positive chronic hepatitis B
Lee, H. S., Chung, Y. H., Lee, K. S., Kwan, S. B., Seung, W. P., Han, J. Y., Yoo, K., Yoo, H. W., Jin, H. L. & Byung, C. Y., May 2006, In: Hepatology. 43, 5, p. 982-988 7 p.Research output: Contribution to journal › Article › peer-review
Open Access67 Scopus citations -
A 15 GHz, -182 dBc/Hz/mW FOM, rotary traveling wave VCO in 90 nm CMOS
Zhang, C., Wang, Z., Zhao, Y. & Park, S. M., Apr 2012, In: IEEE Microwave and Wireless Components Letters. 22, 4, p. 206-208 3 p., 6171883.Research output: Contribution to journal › Article › peer-review
15 Scopus citations -
A 15-GHz COMS multiphase rotary traveling-wave voltage-controlled oscillator
Zhang, C., Wang, Z., Zhao, Y. & Park, S. M., Sep 2012, In: Journal of Semiconductor Technology and Science. 12, 3, p. 255-265 11 p.Research output: Contribution to journal › Article › peer-review
3 Scopus citations -
A 16-channel CMOS inverter transimpedance amplifier array for 3-D image processing of unmanned vehicles
Park, S. M., Dec 2015, In: Transactions of the Korean Institute of Electrical Engineers. 64, 12, p. 1730-1736 7 p.Research output: Contribution to journal › Article › peer-review
Open Access4 Scopus citations -
A 1 adenosine receptor agonists, antagonists, and allosteric modulators
Gao, Z. G., Tosh, D. K., Jain, S., Yu, J., Suresh, R. R. & Jacobson, K. A., 2018, In: Receptors. 34, p. 59-89 31 p.Research output: Contribution to journal › Article › peer-review
13 Scopus citations -
A 1Gb/s 4-channel optical transceiver chipset for automotive wired networks
Kwon, J. K., Oh, W. S., Choi, J. C., Han, J. W., Choi, B. Y. & Park, S. M., 2006, ICECS 2006 - 13th IEEE International Conference on Electronics, Circuits and Systems. p. 1137-1139 3 p. 4263572. (Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
1 Scopus citations -
A 1Gb/s optical transceiver array chipset for automotive wired interconnects
Choi, B. Y., Han, J. W., Park, S. M., Park, K., Oh, W. S. & Choi, J. C., 2007, In: Proceedings - IEEE International Symposium on Circuits and Systems. p. 181-184 4 p., 4252601.Research output: Contribution to journal › Conference article › peer-review
7 Scopus citations -
A 1μm diameter tip fiber-based surface plasmon resonance system for single unit optical neural recording
Moon, H., Kim, S. A., Jun, S. B., Lee, J., Oh, U. & Kim, S. J., 2011, 33rd Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS 2011. p. 498-500 3 p. 6090089. (Proceedings of the Annual International Conference of the IEEE Engineering in Medicine and Biology Society, EMBS).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
-
A 2.22 Gbps high-throughput NB-LDPC decoder in 65nm CMOS with aggressive overlap scheduling
Choi, I. & Kim, J. H., 20 Feb 2018, ASP-DAC 2018 - 23rd Asia and South Pacific Design Automation Conference, Proceedings. Institute of Electrical and Electronics Engineers Inc., p. 315-316 2 p. (Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC; vol. 2018-January).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
-
A 2.5Gb/s ESD-protected dual-channel optical transceiver array
Han, J., Choi, B., Park, K., Oh, W. S. & Park, S. M., 2007, 2007 IEEE Asian Solid-State Circuits Conference, A-SSCC. p. 156-159 4 p. 4425754. (2007 IEEE Asian Solid-State Circuits Conference, A-SSCC).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
18 Scopus citations -
A 20-Å-thick interwoven sheet consisting of nanotubes
Jung, O. S., Kim, Y. J., Kim, K. M. & Lee, Y. A., 10 Jul 2002, In: Journal of the American Chemical Society. 124, 27, p. 7906-7907 2 p.Research output: Contribution to journal › Article › peer-review
116 Scopus citations -
A 20-Gb/s transformer-based current-mode optical receiver in 0.13- μm CMOS
Han, J., Choi, B., Seo, M., Yun, J., Lee, D., Kim, T., Eo, Y. & Park, S. M., May 2010, In: IEEE Transactions on Circuits and Systems II: Express Briefs. 57, 5, p. 348-352 5 p., 5466056.Research output: Contribution to journal › Article › peer-review
30 Scopus citations -
A 2144.2-bits/min/mW 5-Heterogeneous PE-based Domain-Specific Reconfigurable Array Processor for 8-Ch Wearable Brain-Computer Interface SoC
Byun, W., Je, M. & Kim, J. H., 13 Jun 2021, 2021 Symposium on VLSI Circuits, VLSI Circuits 2021. Institute of Electrical and Electronics Engineers Inc., 9492405. (IEEE Symposium on VLSI Circuits, Digest of Technical Papers; vol. 2021-June).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
2 Scopus citations -
A 24-year follow-up study of blood pressure tracking from childhood to adulthood in Korea: The Kangwha study
Lee, M. H., Kang, D. R., Kim, H. C., Ahn, S. V., Khaw, K. T. & Suh, I., Mar 2014, In: Yonsei Medical Journal. 55, 2, p. 360-366 7 p.Research output: Contribution to journal › Article › peer-review
Open Access14 Scopus citations -
A 2-stage low noise amplifier in 90 nm CMOS for 2.4 GHz applications
Oh, H. M., Kim, J. S., Jung, B. C., Kim, J. H., Ko, H. & Kim, C. Y., Nov 2016, In: Advanced Science Letters. 22, 11, p. 3228-3231 4 p.Research output: Contribution to journal › Article › peer-review
-
A 2-Week, multicenter, randomized, double-blind, double-dummy, add-on study of the effects of titration on tolerability of tramadol/acetaminophen combination tablet in Korean adults with knee osteoarthritis pain
Choi, C. B., Song, J. S., Kang, Y. M., Suh, C. H., Lee, J., Choe, J. Y., Lee, C. K., Shim, S. C., Chung, W. T., Song, G. G., Kim, H. A., Ji, J. D., Nam, E. J., Park, S. H., Hong, Y. H., Sheen, D. H., Lim, M. K., Seo, Y. II., Sung, Y. K., Kim, T. H., & 2 others , Jul 2007, In: Clinical Therapeutics. 29, 7, p. 1381-1389 9 p.Research output: Contribution to journal › Article › peer-review
20 Scopus citations -
A 3.125-to-22-Gb/s multi-rate clock and data recovery using voltage-regulated active filter
Kim, S. H., Ying, X., Choi, H., Lee, K., Hong, C., Cho, S. B. & Park, S. M., 13 Nov 2014, In: IEICE Electronics Express. 11, 23, 20140953.Research output: Contribution to journal › Article › peer-review
Open Access2 Scopus citations -
A 350-year history of a Chungin family line interwoven with genealogical records and oral history
Joon, J. B., 1 Jan 2015, In: Seoul Journal of Korean Studies. 28, 2, p. 257-268 12 p.Research output: Contribution to journal › Review article › peer-review
-
A 3' cis-acting element is involved in tumor necrosis factor-α gene expression in astrocytes
Kwon, J., Lee, S. J. & Benveniste, E. N., 1996, In: Journal of Biological Chemistry. 271, 37, p. 22383-22390 8 p.Research output: Contribution to journal › Article › peer-review
Open Access27 Scopus citations -
A 3-dimensional modified vernier time-to-digital converter for lidar sensors
He, Y., Joo, J. E. & Park, S. M., Dec 2020, In: Journal of Semiconductor Technology and Science. 20, 6, p. 1-9 9 p.Research output: Contribution to journal › Article › peer-review
1 Scopus citations -
A 3D modeling and free-view generation system using environmental stereo cameras
Kim, H., Kim, D., Min, D. & Sohn, K., 2007, In: International Journal of Imaging Systems and Technology. 17, 6, p. 367-378 12 p.Research output: Contribution to journal › Article › peer-review
2 Scopus citations -
A 3D-printed polycaprolactone/β-tricalcium phosphate mandibular prosthesis: A pilot animal study
Park, J. H., Jung, S. Y., Lee, C. K., Ban, M. J., Lee, S. J., Kim, H. Y., Oh, H. J., Kim, B. K., Park, H. S., Jang, S. H. & Kim, H. S., 1 Feb 2020, In: Laryngoscope. 130, 2, p. 358-366 9 p.Research output: Contribution to journal › Article › peer-review
7 Scopus citations -
A 40-GHz Mirrored-Cascode Differential Transimpedance Amplifier in 65-nm CMOS
Kim, S. G., Hong, C., Eo, Y. S., Kim, J. & Park, S. M., May 2019, In: IEEE Journal of Solid-State Circuits. 54, 5, p. 1468-1474 7 p., 8594639.Research output: Contribution to journal › Article › peer-review
21 Scopus citations -
A 46-nF/10-MΩ Range 114-aF/0.37-Ω Resolution Parasitic-and Temperature-Insensitive Reconfigurable RC-to-Digital Converter in 0.18-μm CMOS
George, A. K., Shim, W., Kung, J., Kim, J. H., Je, M. & Lee, J., 1 Mar 2022, In: IEEE Transactions on Circuits and Systems I: Regular Papers. 69, 3, p. 1171-1184 14 p.Research output: Contribution to journal › Article › peer-review
-
A 47.4µJ/epoch trainable deep convolutional neural network accelerator for in-situ personalization on smart devices
Choi, S., Sim, J., Kang, M., Choi, Y., Kim, H. & Kim, L. S., Nov 2019, Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019. Institute of Electrical and Electronics Engineers Inc., p. 57-60 4 p. 9056972. (Proceedings - 2019 IEEE Asian Solid-State Circuits Conference, A-SSCC 2019; vol. 2019-November).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
2 Scopus citations -
A 4-channel 12.5Gb/s common-gate transimpedance amplifier array for DVI/HDMI applications
Park, K., Oh, W. S., Choi, B. Y., Han, J. W. & Park, S. M., 2007, In: Proceedings - IEEE International Symposium on Circuits and Systems. p. 2192-2195 4 p., 4253107.Research output: Contribution to journal › Conference article › peer-review
21 Scopus citations -
A 4-Channel 6.25-Gb/s/ch VCSEL driver for HDMI 2.0 active optical cables
Hong, C. & Park, S. M., Aug 2017, In: Journal of Semiconductor Technology and Science. 17, 4, p. 561-567 7 p.Research output: Contribution to journal › Article › peer-review
-
A 4-Channel 8-Gb/s/ch VCSEL driver array
Hong, C. & Park, S. M., Feb 2018, In: Journal of Semiconductor Technology and Science. 18, 1, p. 1-6 6 p.Research output: Contribution to journal › Article › peer-review
-
A 4-Gb/s clock and data recovery circuit using four-phase 1/8-rate clock
Song, S. J., Lee, J., Park, S. M. & Yoo, H. J., 2002, In: European Solid-State Circuits Conference. p. 239-242 4 p., 1471510.Research output: Contribution to journal › Conference article › peer-review
-
A 4-Gb/s CMOS clock and data recovery circuit using 1/8-rate clock technique
Song, S. J., Park, S. M. & Yoo, H. J., Jul 2003, In: IEEE Journal of Solid-State Circuits. 38, 7, p. 1213-1219 7 p.Research output: Contribution to journal › Article › peer-review
56 Scopus citations -
A 4Gb/s current-mode optical transceiver in 0.18μm CMOS
Yun, J. S., Seo, M., Choi, B., Han, J., Eo, Y. & Park, S. M., 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers, ISSCC 2009. Institute of Electrical and Electronics Engineers Inc., p. 102-103 2 p. 4977328. (Digest of Technical Papers - IEEE International Solid-State Circuits Conference).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
12 Scopus citations -
A 5.2-mW, 2.5-Gb/s limiting amplifer for OC-48 SONET applications
Yoo, K., Han, G. & Park, S. M., 2006, ICECS 2006 - 13th IEEE International Conference on Electronics, Circuits and Systems. p. 537-540 4 p. 4263422. (Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
1 Scopus citations -
A 50-Gb/s differential transimpedance amplifier in 65nm CMOS technology
Kim, S. G., Jung, S. H., Eo, Y. S., Kim, S. H., Ying, X., Choi, H., Hong, C., Lee, K. & Park, S. M., 13 Jan 2015, 2014 IEEE Asian Solid-State Circuits Conference, A-SSCC - Proceedings of Technical Papers. Institute of Electrical and Electronics Engineers Inc., p. 357-360 4 p. 7008934. (2014 IEEE Asian Solid-State Circuits Conference, A-SSCC - Proceedings of Technical Papers).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
13 Scopus citations -
A 50mbps double-binary turbo decoder for wiMAX based on bit-level extrinsic information exchange
Kim, J. H. & Park, I. C., 2008, Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008. p. 305-308 4 p. 4708788. (Proceedings of 2008 IEEE Asian Solid-State Circuits Conference, A-SSCC 2008).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
9 Scopus citations -
A 5-Gb/s 1/8-rate CMOS clock and data recovery circuit
Kwon, J. K., Heo, T. K., Cho, S. B. & Park, S. M., 2004, In: Proceedings - IEEE International Symposium on Circuits and Systems. 4, p. IV-293-IV-296Research output: Contribution to journal › Conference article › peer-review
2 Scopus citations -
A 5-Gb/s 2.67-mW/Gb/s digital clock and data recovery with hybrid dithering using a time-dithered delta-sigma modulator
Lee, T., Kim, Y. H., Sim, J., Park, J. S. & Kim, L. S., Apr 2016, In: IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 24, 4, p. 1450-1459 10 p., 7163338.Research output: Contribution to journal › Article › peer-review
8 Scopus citations -
A 6.6 mW, -94 dBc/Hz, 1.0-to-4.5 GHz phase-lock loop in 65-nm CMOS
Lee, K., Hong, C., Ying, H., Kim, D., Kim, S. H. & Park, S. M., 8 Feb 2016, ISOCC 2015 - International SoC Design Conference: SoC for Internet of Everything (IoE). Institute of Electrical and Electronics Engineers Inc., p. 235-236 2 p. 7401734. (ISOCC 2015 - International SoC Design Conference: SoC for Internet of Everything (IoE)).Research output: Chapter in Book/Report/Conference proceeding › Conference contribution › peer-review
1 Scopus citations -
A-62176, a potent topoisomerase inhibitor, inhibits the expression of human epidermal growth factor receptor 2
Kim, H. L., Jeon, K. H., Jun, K. Y., Choi, Y., Kim, D. K., Na, Y. & Kwon, Y., 1 Dec 2012, In: Cancer Letters. 325, 1, p. 72-79 8 p.Research output: Contribution to journal › Article › peer-review
8 Scopus citations -
A 65-mW 5-Gb/s/ch current-mode common-base transimpedance amplifier array for optical interconnects
Park, S. M. & Hong, S., Aug 2003, In: IEEE Photonics Technology Letters. 15, 8, p. 1138-1140 3 p.Research output: Contribution to journal › Article › peer-review
16 Scopus citations -
A 67-year-old man with epistaxis, melena, gross haematuria and haemarthrosis
Lee, D. H. & Choi, Y. H., 1 Sep 2015, In: Hong Kong Journal of Emergency Medicine. 22, 5, p. 324-327 4 p.Research output: Contribution to journal › Article › peer-review
-
A 6-month follow-up study of posttraumatic stress and anxiety/depressive symptoms in Korean children after direct or indirect exposure to a single incident of trauma
Kim, B. N., Kim, J. W., Kim, H. W., Shin, M. S., Cho, S. C., Choi, N. H., Ahn, H., Lee, S. Y., Ryu, J. & Yun, M. J., Aug 2009, In: Journal of Clinical Psychiatry. 70, 8, p. 1148-1154 7 p.Research output: Contribution to journal › Article › peer-review
31 Scopus citations -
A 6-month longitudinal study of bone mineral density with antiepileptic drug monotherapy
Kim, S. H., Lee, J. W., Choi, K. G., Chung, H. W. & Lee, H. W., Mar 2007, In: Epilepsy and Behavior. 10, 2, p. 291-295 5 p.Research output: Contribution to journal › Article › peer-review
113 Scopus citations -
A 7-year observation of the effect of subthalamic deep brain stimulation on impulse control disorder in patients with Parkinson's disease
Kim, A., Kim, Y. E., Kim, H. J., Yun, J. Y., Yang, H. J., Lee, W. W., Shin, C. W., Park, H., Jung, Y. J., Kim, A., Ehm, G., Kim, Y., Jang, M. & Jeon, B., Nov 2018, In: Parkinsonism and Related Disorders. 56, p. 3-8 6 p.Research output: Contribution to journal › Article › peer-review
24 Scopus citations -
AAC intervention using a VOCA for deaf children with multiple disabilities who received cochlear implantation
Lee, Y., Jeong, S. W. & Kim, L. S., Dec 2013, In: International Journal of Pediatric Otorhinolaryngology. 77, 12, p. 2008-2013 6 p.Research output: Contribution to journal › Article › peer-review
13 Scopus citations -
A Backward-facing Step Combustor: Reduced-Order Modeling and Control
Park, S., Wachsman, A., Yi, T., Wee, D., Annaswamy, A. M. & Ghoniem, A. F., 2003, In: Proceedings of the IEEE Conference on Decision and Control. 3, p. 2328-2333 6 p.Research output: Contribution to journal › Conference article › peer-review
1 Scopus citations -
A band-engineered one-transistor DRAM with improved data retention and power efficiency
Yu, E., Cho, S., Shin, H. & Park, B. G., Apr 2019, In: IEEE Electron Device Letters. 40, 4, p. 562-565 4 p., 8654635.Research output: Contribution to journal › Article › peer-review
13 Scopus citations